Микроэлектроника, 2023, T. 52, № 4, стр. 298-306

Концентрация атомов фтора и кинетика реактивно-ионного травления кремния в смесях CF4 + O2, CHF3 + O2 и C4F8 + O2

А. М. Ефремов ab, А. В. Бобылев a, K.-H. Kwon c

a ФГБОУ ВО “Ивановский государственный химико-технологический университет”
153000 Иваново, Шереметевский просп., 7, Россия

b ЯрФ ФТИАН им. К.А. Валиева РАН
Ярославль, ул. Университетская, 21, Россия

c Korea University
339-700 Sejong, South Korea

Поступила в редакцию 05.04.2023
После доработки 20.04.2023
Принята к публикации 22.04.2023

Аннотация

Проведено сравнительное исследование электрофизических параметров плазмы, концентраций атомов фтора и кинетики реактивно-ионного травления кремния в смесях CF4 + O2, CHF3 + O2 и C4F8 + O2 переменного (0–75% O2) начального состава. Показано, что доминирующим механизмом травления всегда является ионно-стимулированная химическая реакция Si + xF → SiFx, скорость которой имеет максимум в области 20–50% O2. По результатам диагностики плазмы установлено, что аналогичное поведение концентрации атомов фтора характерно только для смесей CF4 + O2 и CHF3 + O2, при этом в смеси C4F8 + O2 имеет место немонотонное изменение вероятности взаимодействия. Предположено, что причиной последнего эффекта является конкуренция процессов снижения толщины фторуглеродной полимерной пленки и окисления поверхности кремния атомами кислорода.

Ключевые слова: фторуглеродные газы, плазма, атомы фтора, травление, полимеризация, кинетика, механизм

Список литературы

  1. Roosmalen J., Baggerman J.A.G., H. Brader S.J. Dry etching for VLSI. N.Y.: Plenum Press, 1991.

  2. Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. V. 1. Process Technology. N.Y.: Lattice Press, 2000.

  3. Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer International Publishing, 2015.

  4. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. N.Y.: John Wiley & Sons Inc., 1994.

  5. Stoffels W.W., Stoffels E., Tachibana K. Polymerization of fluorocarbons in reactive ion etching plasmas // J. Vac. Sci. Tech. A. 1998. V. 16. P. 87–95.

  6. Kay E., Coburn J., Dilks A. Plasma chemistry of fluorocarbons as related to plasma etching and plasma polymerization. In: Veprek S., Venugopalan M. (eds) Plasma Chemistry III. Topics in Current Chemistry. V. 94. Berlin, Heidelberg: Springer, 1980.

  7. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide // J. Vac. Sci. Technol. A. 2004. V. 22. P. 53–60.

  8. Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism // J. Vac. Sci. Technol. A. 1999. V. 17. P. 26–37.

  9. Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges // J. Appl. Phys. 2006. V. 100. P. 063303 (1–9).

  10. Plumb I.C., Ryan K.R. A model of the chemical processes occurring in CF4/O2 discharges used in plasma etching // Plasma Chem. Plasma Process. 1986. V. 6. P. 205–230.

  11. Efremov A., Lee J., Kim J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios // Plasma Chem. Plasma Process. 2017. V. 37. P. 1445–1462.

  12. Mogab C., Adams A., Flamm D. Plasma Etching of Si and SiO2 – The Effect of Oxygen Additions to CF4 Plasmas // J. Appl. Phys. 1978. V. 49. P. 3796–3803.

  13. Efremov A.M., Murin D.B., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process // Russian Microelectronics. 2020. V. 49. № 3. P. 157–165.

  14. Efremov A.M., Murin D.B., Kwon K.-H. Features of the Kinetics of Bulk and Heterogeneous Processes in CHF3 + Ar and C4F8 + Ar Plasma Mixtures // Russian Microelectronics. 2019. V. 48. № 2. P. 119–127.

  15. Kokkoris G., Goodyear A., Cooke M., Gogolides E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics // J. Phys. D. Appl. Phys. 2008. V. 41. P. 195211 (1–12).

  16. Rauf S., Ventzek P.L. Model for an inductively coupled Ar/c-C4F8 plasma discharge // J. Vac. Sci. Technol. A. 2002. V. 20. P. 14–23.

  17. Proshina O., Rakhimova T.V., Zotovich A., Lopaev D.V., Zyryanov S.M., Rakhimov A.T. Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges // Plasma Sources Sci. Technol. 2017. V. 26. P. 075005.

  18. Li X., Ling L., Hua X., Fukasawa M., Oehrlein G.S., Barela M., Anderson H.M. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas // J. Vac. Sci. Technol. A. 2003. V. 21. P. 284–293.

  19. Lim N., Efremov A., Kwon K.-H. A comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications // Plasma Chem. Plasma Process. 2021. V. 41. P. 1671–1689.

  20. Efremov A., Lee B.J., Kwon K.-H. On relationships between gas-phase chemistry and reactive-ion etching kinetics for silicon-based thin films (SiC, SiO2 and SixNy) in multi-component fluorocarbon gas mixtures // Materials. 2021. V. 14. P. 1432(1–27).

  21. Lee B.J., Efremov A., Nam Y., Kwon K.-H. Plasma parameters and silicon etching kinetics in C4F8 + O2 + Ar gas mixture: Effect of component mixing ratios // Plasma Chem. Plasma Process. 2020. V. 40. P. 1365–1380.

  22. Shun’ko E.V. Langmuir Probe in Theory and Practice, Boca Raton: Universal Publishers, 2008.

  23. Lopaev D.V., Volynets A.V., Zyryanov S.M., Zotovich A.I., Rakhimov A.T. Actinometry of O, N and F atoms // J. Phys. D: Appl. Phys, 2017. V. 50. P. 075202(1–17).

  24. Cunge G., Ramos R., Vempaire D., Touzeau M., Neijbauer M., Sadeghi N. Gas temperature measurement in CF4, SF6, O2, Cl2, and HBr inductively coupled plasmas // J. Vac. Sci. Technol. A. 2009. V. 27. №. 3. P. 471–478.

  25. Coburn J.W. Plasma etching and reactive ion etching. N.Y.: AVS Monograph Series, 1982.

  26. Derkach V.P., Bagrii I.P., Chechko G.A. Modeling of plasma etching in microelectronics // Cybern. Syst. Anal. 1990. V. 26. P. 653–663.

  27. Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma-etching // J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243–1257.

  28. Efremov A., Son H.J., Choi G., Kwon K.-H. On Mechanisms Influencing Etching/Polymerization Balance in Multi-Component Fluorocarbon Gas Mixtures // Vacuum. 2022. V. 206. P. 111518(1–10).

  29. Seah M.P., Nunney T.S. Sputtering yields of compounds using argon ions // J. Phys. D: Appl. Phys. 2010. V. 43. № 25. P. 253001(1–24).

  30. Efremov A., Bashmakova D., Kwon K.-H. Features of plasma composition and fluorine atom kinetics in CHF3 + O2 gas mixture // ChemChemTech. 2023. V. 66. № 1. P. 48–55.

  31. d’Agostino R., Flamm D.L. Plasma etching of Si and SiO2 in SF6–O2 mixtures // J. Appl. Phys. 1981. V. 52. P. 162–167.

  32. Knizikevicius R. Simulations of Si and SiO2 Etching in SF6 + O2 Plasma // Acta Physica Polonica A. 2010. V. 117. № 3. P. 478–483.

Дополнительные материалы отсутствуют.