Микроэлектроника, 2020, T. 49, № 3, стр. 163-169

Влияние температуры проявления на контраст электронного резиста HSQ

А. А. Татаринцев ab*, А. В. Шишлянников c, К. В. Руденко a, А. Е. Рогожин a, А. Е. Иешкин b

a Физико-технологический институт им. К.А. Валиева Российской АН
117218 Москва, Нахимовский проспект, 36, корп. 1, Россия

b Физический факультет МГУ им. М.В. Ломоносова
119991 Москва, Ленинские горы, 1, ст. 2, Россия

c АО “НИИМЭ”
124460 Москва, Зеленоград, 1-ый Западный проезд, 12/1, Россия

* E-mail: tatarintsev@ftian.ru

Поступила в редакцию 20.11.2019
После доработки 02.12.2019
Принята к публикации 02.12.2019

Полный текст (PDF)

Аннотация

Проведено исследование зависимости значения контраста негативного электронного резиста на основе водород-силсесквиоксана в процессе проявления в водном щелочно-солевом растворе NaOH–NaCl при различных температурах. При повышении температуры проявителя от +22 до +40°С, наблюдается повышение контраста проявления на 45%. Увеличение контраста было обнаружено также при понижении температуры проявления до +10°С – на 27%, по сравнению с температурой проявления +22°С. Таким образом, установлено немонотонное изменение контраста проявления резиста HSQ от температуры проявления. Исследования АСМ-изображений тестовых структур, в областях, где было проведено экспонирование HSQ-резиста, демонстрируют фазовый контраст даже в областях с нулевой толщиной резиста после проявления, что свидетельствует об изменении адгезионных свойств поверхности.

Ключевые слова: электронно-лучевая литография, негативный электронный резист, HSQ, резистивная маска, контрастность резиста, щелочно-солевое проявление, температура проявления

ВВЕДЕНИЕ

Прямая электронно-лучевая литография (ЭЛЛ) является доминирующим исследовательским инструментом, позволяющим создавать экспериментальные структуры приборов наноэлектроники, нанофотоники, наноэлектромеханики вплоть до суб-10 нм критических размеров топологии. Например, электронная литография успешно применяется в одноэлектронике при создании и формировании элементов SET-транзисторов с шириной линии до 10 нм [1], формировании массивов кремниевых нанопроводов для твердотельных генераторов ТГц-излучения [2], кремниевых биосенсоров на основе нанопроволочных транзисторов [3]. ЭЛЛ используется при создании решетчатых структур для голографических приложений [4], при формировании ультратонких сверхструктур, которые реализуют резонансный отражатель света с заданным профилем углового рассеяния [5]. Отдельно стоит отметить, что электронная литография может применяться совместно с фотолитографией меньшего разрешения, т.н. смешанная схема “mix and match”, при которой критические участки топологии прорисовываются электронным лучом, а некритические – оптической литографией.

Для достижения максимального разрешения в системе электронный пучок–резист необходимо учитывать параметры, определяющие дозовые характеристики резиста, включая его чувствительность и контрастность. Чувствительность резиста обуславливает минимально необходимую дозу экспонирования, необходимую для его полного проявления, а контрастность характеризует крутизну графика дозовой зависимости.

Одним из распространенных резистов является кремний-неорганический негативный электронный резист (hydrogen silsesquioxane – HSQ) со сверхвысоким разрешением и хорошей стойкостью к химически активной плазме. С его помощью можно формировать уединенные структуры с критическими размерами до 5–8 нм. Помимо области электронной литографии HSQ используется как формовочный материал в наноимпринтной литографии [6], а также в качестве промежуточного материала при создании гибридных светодиодных устройств [7] и др.

С использованием метода проявления резиста HSQ в щелочно-солевом растворе, иначе называемого высококонтрастным методом, удалось получить линии шириной до 10 нм с полушагом 30 нм [8], а также линии шириной до 5 нм [9]. Данный резист обладает хорошей стойкостью в ряде технологий переноса топологии в функциональный слой посредством плазмохимического травления. Так, в работе [10] в процессе анизотропного плазмохимического травления кремния в плазме SF6 + C4F8 была достигнута селективность по отношению к такому HSQ резисту до 14 : 1 при переносе топологии с критическим размером 9 нм, что позволило сформировать структуры с аспектным соотношением до 1 : 10.

Так как скорость химической реакции проявления резиста зависит от температуры, то целесообразно оптимизировать влияние температуры проявителя. В данной работе приведены результаты исследования кривых контраста резиста HSQ в зависимости от температуры проявления с целью формирования максимально плотно расположенных топологических структур.

ЭКСПЕРИМЕНТ

Для исследования кривых контраста был подготовлен топологический шаблон, состоящий из набора квадратных площадок размерами 5 × 5 мкм с разными дозами (рис. 1). Экспонирование проводилось на электронно-лучевом литографе Raith-150 при максимальной энергии первичного пучка электронов 30 кэВ. На шаблоне присутствуют 5 маркеров (4 по углам и один в центре) для настройки и выравнивания наклонов при последующем исследовании структур методами атомно-силовой микроскопии. Эти маркеры были экспонированы при максимальной дозе 4000 мкКл/см2. Базовая доза экспонирования составляла 1000 мкКл/см2. Для каждой отдельной площадки задавался свой множитель базовой дозы, который лежал в пределах от 0.5 до 4.18. Таким образом, доза экспонирования для площадок менялась в диапазоне от 500 до 4180 мкКл/см2 с шагом 30 мкКл/см2. Помимо этого, рядом со структурами шаблона экспонировались топологические структуры в виде группы линий с разными дозами.

Рис. 1.

Топологический шаблон для исследования кривой контраста методом ACM.

В качестве подложек использовались кремниевые пластины кристаллической ориентации (100). Перед нанесением резиста пластина очищалась органическими растворителями, после чего ее поверхность дегидратировалась в среде азота при температуре +250°С в течение 60 мин. Негативный электронный резист HSQ XR-1541 с 2% концентрацией наносился на поверхность пластины на центрифуге SAWATEC при 1000 об./мин, что позволяло получить толщину пленки резиста 120 нм. Сушка резиста производилась при температуре 150°С в течение 4 мин также в среде азота.

После экспонирования образцы проявлялись в водном растворе 1% NaOH и 4% NaCl при разных температурах в диапазоне +10…+60°С в течение 4 мин. Термостабилизация процесса проявления осуществлялась с помощью термостата, температура поддерживалась постоянной на протяжении всего процесса проявления. Далее образцы промывались в течение 60 с последовательно в деионизированной воде, изопропаноле и сушились в потоке сухого азота.

После предварительного исследования полученных структур в сканирующем электронном микроскопе (СЭМ) проводился детальный анализ остаточной толщины резиста для каждой площадки с использованием атомно-силового микроскопа (АСМ) в полуконтактном режиме измерений с использованием кремниевых кантилеверов. При этом был исследован как амплитудный, так и фазовый ACM-контраст структур. На основании полученных в АСМ амплитудных изображений были построены кривые контраста резиста для различных значений температуры проявления.

РЕЗУЛЬТАТЫ И ОБСУЖДЕНИЕ

На рис. 2 представлены изображения тестовых площадок в амплитудном контрасте АСМ, полученные в полуконтактном режиме работы для температур проявления +22 и +40°С. Данные снимки характеризуют остаточную высоту экспонированных площадок шаблона. По полученным данным построены кривые контраста для этих температур, а также для +10°C проявления, представленные на рис. 3 для нормированной толщины резиста h/h0. Параметр контрастности резиста определялся из известного соотношения:

$\gamma = \frac{{{h \mathord{\left/ {\vphantom {h {{{h}_{0}}}}} \right. \kern-0em} {{{h}_{0}}}}}}{{{\text{lo}}{{{\text{g}}}_{{10}}}({D \mathord{\left/ {\vphantom {D {{{D}_{0}}}}} \right. \kern-0em} {{{D}_{0}}}})}},$
где D0 = 500 мкКл/см2 – минимальное значение дозы экспонирования резиста, а h0 = 120 нм – максимальная толщина проэкспонированного резиста. Модуль тангенса угла наклона касательной вычислялся в точке минимальной дозы, где резист начинает проявляться.

Рис. 2.

Изображения тестовых структур, полученных с помощью АСМ при температурах проявления 22°С (а, в) и 40°С (б, г).

Рис. 3.

Нормализованные кривые контраста резиста HSQ при щелочно-солевом проявлении при разных температурах проявителя: +10°С, +22°С и +40°С. h0 = 120 нм, D0 = 500 мкКл/см2.

Отсюда видно, что при температуре проявителя +10°C контрастность резиста для данного метода проявления составила γ = 6.9, для температуры проявления +22°C γ = 5.4, а для +40°C – γ = 7.9. По представленным зависимостям, контраст при температуре проявления +40°С на 45% лучше, чем при проявлении при +22°С.

Неожиданным оказался тот факт, что при понижении температуры проявления до +10°С резист продемонстрировал улучшение контраста на 27% по сравнению с +22°С. Таким образом, было обнаружено немонотонное изменение контраста резиста от температуры проявления. В дальнейшем целесообразно детальнее изучить процесс проявления и при более низких температурах.

В ходе исследования структур с помощью СЭМ было отмечено, что при обработке структур в щелочно-солевом проявителе с повышенной температурой +60°С происходит значительное разрушение проэкспонированных структур в резисте. Кроме того, поверхность кремния также подвергается разрушению. Так, на рис. 4 представлен топологический рисунок линий в резисте шириной 50 нм при температурах проявления +22, +40 и +60°С. Из рисунка видно, что линии при температуре +60°С разрушены полностью: вместо необходимой ширины 50 нм, линии имеют ширину 37 нм. Также между линиями наблюдаются сферические частицы размерами от 15 до 20 нм, наблюдается дополнительная шероховатость на поверхности образца около 5 нм.

Рис. 4.

СЭМ изображения литографических линий шириной 50 нм при разных температурах проявления +22°С (а), +40°С (б) и +60°С (в).

В отсутствие резких перепадов высот в исследуемой области, фазовый сдвиг колебаний кантилевера в “полуконтактном” режиме определяется энергией диссипативного взаимодействия зонда с поверхностью образца [11, 12]. Поэтому, исследование картин фазового контраста позволяет визуализировать изменение вдоль поверхности образца таких характеристик, как жесткость, вязкость, возникновение адгезионных сил между зондом и образцом. В общем случае, анализ этих свойств из изображений в фазовом контрасте затруднен, поскольку топография поверхности и площадь контакта также в значительной степени влияют на изображение.

На рис. 5 представлен фазовый контраст тестовых структур, полученных при температурах проявления +22 и +40°С, измеренный в “полуконтактном” режиме с помощью АСМ. Как видно из рисунка, те области, которые имеют нулевую толщину резиста, измеренную в амплитудном контрасте, по-прежнему видны в фазовом контрасте АСМ изображения. Это свидетельствует об изменении диссипативных характеристик взаимодействия подложки и кантилевера, а значит и об изменении свойств кремниевой подложки после проявления резиста. Отметим, что такое изменение возникает уже для самой малой дозы экспонирования 500 мКл/см2. Фазовый контраст наблюдается и для площадок промежуточной высоты (т.е. для промежуточных доз экспонирования, недостаточных для полного проявления резиста), что говорит об отличии их механических свойств от свойств полностью проявленных площадок. Интересно, что на амплитудных изображениях ACM (рис. 2) такие площадки имеют скругления профиля.

Рис. 5.

Фазовый контраст тестовых структур, полученный в “полуконтактном” режиме с помощью АСМ при температурах проявления 22°С (а) и 40°С (б).

Выявленные условия увеличения контраста резиста HSQ позволили получить увеличение плотности литографических структур линия/промежуток электронно-лучевой литографией. В частности, с использованием высококонтрастного метода проявления удалось сформировать плотную группу линий с критическим размером 10 нм и интервалами между соседними линями 20 и 30 нм (рис. 6). Таким образом, на основе усовершенствования метода проявления электронного резиста могут быть изготовлены топологически плотные массивы элементов с суб-10 нм разрешением.

Рис. 6.

Литографические линии шириной суб-10 нм с интервалами между соседними линиями ~20 нм (а) и ~30 нм (б), сформированные при температуре проявления +40°С.

ВЫВОДЫ

Температура проявления электронного резиста HSQ является дополнительным фактором, позволяющим управлять контрастом резиста. Наблюдалось максимальное увеличение значение контраста на 45% относительно нормальной температуры проявления +22°С. При этом установлена немонотонная зависимость величины контраста от температуры проявления. Перспективным оказывается использование пониженных температур проявления, что требует проведения дополнительных исследований.

Исследование литографических структур методом АСМ (фазовый контраст) показывает, что адгезионные свойства поверхности кремния после проведения процесса проявления могут меняться даже при дозах, меньших, чем минимально необходимая доза проявления электронного резиста. Для областей, проэкспонированных при этих дозах, амплитудный контраст АСМ изображения показывает нулевую толщину резиста, в то же время в фазовом контрасте эти области четко видны. Такое изменение возникает уже для относительно малых доз экспонирования около 500 мКл/см2.

Исследование выполнено в рамках Государственного задания ФТИАН им. К.А. Валиева РАН Минобрнауки РФ по теме 0066-2019-0004 и, частично, при финансовой поддержке РФФИ в рамках проекта 19-37-90083.

Список литературы

  1. Choi Y.Y., Teranishi T., Majima Y. Robust Pt-based nanogap electrodes with 10 nm scale ultrafine linewidth // Appl. Phys. Express. 2019. V. 12. № 2. P. 025002-1–025002-17.

  2. Rogozhin E., Miakonkikh A.V., Tatarintsev A.A., Rudenko K.V. Fabrication and properties of SOI-based planar silicon nanowire arrays // Proc. SPIE. 2019. V. 11022. P. 1102222-1–1102222-6.

  3. Popov V.P., Ilnitskii M.A., Zhanaev E.D., Myakon’kich A.V., Rudenko K.V., Glukhov A.V. Biosensor Properties of SOI Nanowire Transistors with a PEALD Al2O3 Dielectric Protective Layer // Semiconductors. 2016. V. 50. P. 632–638.

  4. Ruffato G., Massari M., Capaldo P., Romanato F. Holographic silicon metasurfaces for total angular momentum demultiplexing applications in telecom // Applied Sciences (Switzerland). 2019. V. 9. № 11. P. 2387-1–2387-15.

  5. Neder V., Ra’Di Y., Alù A., Polman A. Combined Metagratings for Efficient Broad-Angle Scattering Metasurface // ACS Photonics. 2019. V. 6. № 4. P. 1010–1017.

  6. Lauvernier D., Garidel S., Legrand C., Vilcot J.P. Realization of sub-micron patterns on GaAs using a HSQ etching mask // Microelectron. Eng. 2005. V. 77. № 3–4. P. 210–216.

  7. Lina L., Oua Y., Jokubaviciusb V., Syväjärvib M., Liangc M., Liuc Z., Yic X., Schuhd P., Wellmannd P., Herstrøme B., Jensene F., Oua H. An adhesive bonding approach by hydrogen silsesquioxane for silicon carbide-based LED applications // Materials Science in Semiconductor Processing. V. 91. P. 9–12.

  8. Yang J.K.W., Berggren K.K. Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography // J. Vac. Sci. Technol. B. 2007. V. 25. № 6. P. 2025–2029.

  9. Yang J.K.W., Cord B., Duan H., Berggrena K.K., Klingfus J., Nam S.-W., Kim K.-B., Rooks M.J. Understanding of hydrogen silsesquioxane electron resist for sub-5‑nm-half-pitch lithography // J. Vac. Sci. Technol. B. 2009. V. 27. № 6. P. 2622–2627.

  10. Мяконьких А.В., Орликовский Н.А., Рогожин А.Е., Татаринцев А.А., Руденко К.В. Зависимость стойкости негативного электронного резиста HSQ от дозы облучения в процессе плазмохимического и химического травления // Микроэлектроника. 2018. Т. 47. № 3. С. 179–186.

  11. Миронов В.Л. Основы сканирующей зондовой микроскопии. Нижний Новгород. 2004. 114 с.

  12. Tamayo J., García R. Relationship between phase shift and energy dissipation in tapping-mode scanning force microscopy // Applied Physics Letters. 1998. V. 73. № 20. P. 2926–2928.

Дополнительные материалы отсутствуют.