Микроэлектроника, 2023, T. 52, № 5, стр. 383-389

О влиянии малых добавок F2, H2 и HF на концентрации активных частиц в плазме тетрафторметана

А. М. Ефремов 12*, С. А. Смирнов 12, В. Б. Бетелин 2

1 ФГБОУ ВО “Ивановский государственный химико-технологический университет”
153000 Иваново, Шереметевский просп., 7, Россия

2 ФГУ ФНЦ НИИСИ РАН
117218 Москва, Нахимовский просп., 36, корп. 1, Россия

* E-mail: amefremov@mail.ru

Поступила в редакцию 10.05.2023
После доработки 10.07.2023
Принята к публикации 10.07.2023

Аннотация

Проведено сравнительное исследование эффекта малых (до 20%) замещающих добавок F2, H2 и HF на кинетику и стационарные концентрации нейтральных частиц в плазме 50% CF4 + 50% Ar в условиях, типичных для процессов реактивно-ионного травления кремния и его соединений. Показано, что варьирование соотношений CF4/F2 и CF4/H2 приводит к противоположным, взаимосвязанным и неаддитивным изменениям концентраций атомов фтора и фторуглеродных радикалов. Это обеспечивает широкие диапазоны регулирования скорости травления и полимеризационной способности при минимальном возмущении параметров электронной и ионной компоненты плазмы. Напротив, соотношение CF4/HF отличается минимальным влиянием на скорость поверхностной полимеризации, но заметно изменяет концентрацию атомов фтора. Таким образом, имеет место селективное воздействие на скорость гетерогенной химической реакции.

Ключевые слова: фторуглеродные газы, плазма, атомы фтора, полимеробразующие радикалы, травление, полимеризация, кинетика, механизм

Список литературы

  1. Nojiri K. Dry etching technology for semiconductors, Tokyo: Springer International Publishing, 2015.

  2. Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. Volume 1. Process Technology, New York: Lattice Press, 2000.

  3. Roosmalen J., Baggerman J.A.G., H. Brader S.J. Dry etching for VLSI, New-York: Plenum Press, 1991.

  4. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing, New York: John Wiley & Sons Inc., 1994.

  5. Stoffels W.W., Stoffels E., Tachibana K. Polymerization of fluorocarbons in reactive ion etching plasmas // J. Vac. Sci. Tech. A. 1998. V. 16. P. 87–95.

  6. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide // J. Vac. Sci. Technol. A. 2004. V. 22. P. 53–60.

  7. Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism // J. Vac. Sci. Technol. A. 1999, V. 17. P. 26–37.

  8. Kay E., Coburn J., Dilks A. Plasma chemistry of fluorocarbons as related to plasma etching and plasma polymerization. In: Veprek S., Venugopalan M. (eds) Plasma Chemistry III. Topics in Current Chemistry. V. 94. Berlin, Heidelberg: Springer, 1980.

  9. Efremov A.M., Murin D.B., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process // Russian Microelectronics. 2020. V. 49. № 3. P. 157–165.

  10. Efremov A., Lee B.J., Kwon K.-H. On relationships between gas-phase chemistry and reactive-ion etching kinetics for silicon-based thin films (SiC, SiO2 and SixNy) in multi-component fluorocarbon gas mixtures // Materials. 2021. V. 14. P. 1432(1–27).

  11. Efremov A., Son H.J., Choi G., Kwon K.-H. On Mechanisms Influencing Etching/Polymerization Balance in Multi-Component Fluorocarbon Gas Mixtures // Vacuum. 2022. V. 206. P. 111518(1–10).

  12. Knizikevicius R. Real dimensional simulation of SiO2 etching in CF4 + H2 plasma // Appl. Surface Sci. 2004. V. 222. P. 275–285.

  13. Gorobchuk A. Numerical modeling of silicon processing technology in CF4/H2 plasma, 2015 International Siberian Conference on Control and Communications (SIBCON), Omsk, Russia, 2015. P. 1–4.

  14. Marra D.C., Aydil E. S. Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films // J. Vac. Sci. Technol. A. 1997. V. 15. P. 2508–2517.

  15. Efremov A.M., Murin D.B., Kwon K.-H. Features of the Kinetics of Bulk and Heterogeneous Processes in CHF3 + Ar and C4F8 + Ar Plasma Mixtures // Russian Microelectronics. 2019. V. 48. № 2. P. 119–127.

  16. Kimura T., Ohe K. Probe measurements and global model of inductively coupled Ar/CF4 discharges // Plasma Sources Sci. Technol. 1999. V. 8. P. 553–561.

  17. Ho P., Johannes J.E., Buss R.J. Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data // J. Vac. Sci. Technol. B. 2001. V. 19. P. 2344–2352.

  18. Shun’ko E.V. Langmuir Probe in Theory and Practice, Boca Raton: Universal Publishers, 2008.

  19. Cunge G., Ramos R., Vempaire D., Touzeau M., Neijbauer M., Sadeghi N. Gas temperature measurement in CF4, SF6, O2, Cl2, and HBr inductively coupled plasmas // J. Vac. Sci. Technol. A. 2009. V. 27. № 3. P. 471–478.

  20. Kokkoris G., Goodyear A., Cooke M., Gogolides E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics // J. Phys. D. Appl. Phys. 2008. V. 41. P. 195211 (1–12).

  21. Rauf S., Ventzek P.L. Model for an inductively coupled Ar/c-C4F8 plasma discharge // J. Vac. Sci. Technol. A. 2002. V. 20. P. 14–23.

  22. Coburn J.W. Plasma etching and reactive ion etching, New York: AVS Monograph Series, 1982.

  23. Derkach V.P., Bagrii I.P., Chechko G.A. Modeling of plasma etching in microelectronics // Cybern. Syst. Anal. 1990. V. 26. P. 653–663.

  24. Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma-etching // J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243–1257.

  25. Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges // J. Appl. Phys. 2006. V. 100. P. 063303 (1–9).

  26. Plumb I.C., Ryan K.R. A model of the chemical processes occurring in CF4/O2 discharges used in plasma etching // Plasma Chem. Plasma Process. 1986. V. 6. P. 205–230.

  27. Efremov A., Lee J., Kim J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios // Plasma Chem. Plasma Process. 2017. V. 37. P. 1445–1462.

  28. d’Agostino R., Flamm D.L. Plasma etching of Si and SiO2 in SF6–O2 mixtures // J. Appl. Phys. 1981. V. 52. P. 162–167.

  29. Jung J.H., Oh H., Shong B. Fluorination of TiN, TiO2, and SiO2 surfaces by HF toward selective atomic layer etching (ALE) // Coatings. 2023. V. 13. P. 387(1–11).

Дополнительные материалы отсутствуют.