Микроэлектроника, 2019, T. 48, № 3, стр. 191-200

Моделирование методом Монте-Карло дефектов профиля тренча в процессе глубокого криогенного травления кремния

М. К. Руденко 1*, А. В. Мяконьких 1**, В. Ф. Лукичев 1***

1 Физико-технологический институт им. К.А. Валиева РАН
117218 Москва, Нахимовский проспект, 36, корп. 1, Россия

* E-mail: mikhail.rudenko@ftian.ru
** E-mail: miakonkikh@ftian.ru
*** E-mail: lukichev@ftian.ru

Поступила в редакцию 07.12.2018
После доработки 17.12.2018
Принята к публикации 24.12.2018

Полный текст (PDF)

Аннотация

Предложена и реализована численная модель эволюции двумерного профиля при криогенном травлении Si в плазме SF6/O2. Для расчета потоков частиц используется метод Монте-Карло. Профиль травления представляется с помощью квадратных ячеек. Модель предназначена для изучения различных дефектов профиля стохастической природы. С этой целью состояние модельной ячейки представляется как комбинация состояний нескольких подъячеек, случайно выбираемых при каждом взаимодействии частиц с поверхностью, что позволяет изучать мелкомасштабные дефекты профиля без потери производительности расчета. Результаты моделирования сопоставлены с экспериментальными данными; наблюдается хорошее качественное согласие. Численно исследованы поверхностные дефекты, характерные для высокоаспектного криогенного травления. Среди них шероховатость стенки, зависящая от глубины, образование каверн, расщепление тренча и образование “нанотравы”.

1. ВВЕДЕНИЕ

Процесс непрерывного криогенного травления кремния является распространенным типом процессов глубокого реактивного травления (DRIE), используемых в областях производства DRAM и MEMS/NEMS, структур для трехмерной интеграции ИС и рентгеновской микроскопии [1]. Эти приложения накладывают жесткие требования на качество поверхности создаваемых структур, что создает необходимость тщательной оптимизации технологических процессов. Для рентгеновских линз требуется отсутствие наклона стенки профиля и масштаб шероховатости менее 10 нм. Нарушение этих требований приводит к возникновению аберраций. Профиль сквозных отверстий (TSV), используемых для изготовления ИС с трехмерной интеграцией, должен обладать наклоном 88°–89° и отсутствием зазубрин (scalloping). Перспективные структуры DRAM также требовательны к отсутствию зазубрин и загрязнения стенок.

Особенностью криогенного травления является высокая чувствительность результатов процесса к таким параметрам, как потоки частиц из плазмы, температура образца, мощность смещения и давление в камере. Это делает экспериментальную процедуру определения оптимальных параметров процесса травления весьма трудоемкой [2]. В таких обстоятельствах численное моделирование становится важным инструментом оптимизации процессов криогенного травления.

Авторы предыдущих работ в основном уделяли внимание изучению гладких профилей различных характерных масштабов и объяснению механизмов формирования их особенностей. Широкое распространения получили такие методы моделирования, как метод клеток [3] и метод струны [4]. В настоящей работе развит метод макроскопических ячеек, основанный на методе Монте-Карло. Его главной отличительной особенностью является подробный учет состояния входящих в макроскопическую ячейку подъячеек и взаимодействия ионов с подъячейками. Он позволяет адекватно моделировать режимы травления, в которых существенную роль играет стохастическая природа потока частиц из плазмы, такие как образование шероховатости стенок, каверн, “нанотравы” и расщепления профиля. Полученные в результате моделирования профили были сопоставлены с результатами экспериментов по травлению кремниевых пластин с маской из оксида кремния. Структуры формировались методом фотолитографии; ширина тренчей составляла от 8 до 16 мкм.

2. МОДЕЛЬ

2.1. Общие положения

Предложенная в работе модель основывается на совместном использовании метода Монте- Карло для определения потока частиц и представления профиля с помощью ячеек/подъячеек. Основные допущения, используемые при моделировании, таковы:

1) маска не подвержена травлению;

2) частицы в расчетной области не сталкиваются друг с другом;

3) потоки частиц и распределения их скоростей не зависят от времени и текущего состояния модельной системы;

4) используется $2 + \frac{1}{2}$ фазовое пространство: состояние каждой частицы описывается вектором (x, y, z, vx, vy);

5) воздействие слоя объемного заряда на распределение скоростей ионов представлено эмпирическими параметрами ${{V}_{{{\text{dc}}}}}$ (напряжение смещения) и ${{\sigma }_{{\alpha }}}$ (угол расходимости ионов). Параметры модели приведены в табл. 1; взятые из литературных источников [5, 6] отмечены (*).

Таблица 1.  

Параметры модели

Параметр Описание Типичное значение
Vdc, В Напряжение смещения 80
T, K Температура нейтральных частиц 600
a, нм Размер ячейки 100
n Количество подъячеек в ячейке 85
Eth,(Si/O), эВ Пороговая энергия распыления Si/O* 15/10
σα, рад Угол расходимости ионов 0.1
A(Si/O) Абсолютный выход распыления Si/O* 4.0/1.0
S(F/O) Коэффициент прилипания F/O* 0.75/1.0
θ(Si/O), ° Первый угловой параметр распыления Si/O* 60/36
ψ(Si/O) Второй угловой параметр распыления Si/O* 86/67
Φ(O*/F*), см–2с–1 Потоки нейтральных частиц
Φi, см–2с–1 Поток ионов

В модели рассматривается три вида частиц: радикалы кислорода (O*), радикалы фтора (F*) и ионы фтора (F+). Построение макроскопических моделей плазменного разряда и слоя объемного заряда находится за рамками данной работы, и потоки частиц в приповерхностной области являются внешними параметрами модели. Вычислительная область (двухмерный прямоугольник) разделяется на сетку из квадратных ячеек размера $a$ (рис. 1 ). Ячейки могут быть нескольких типов: пустые, ячейки маски, ячейки кремния и ячейки-маркеры границы вычислительной области. Первоначально все ячейки с координатой $y > {{y}_{{{\text{mask}}}}}$ являются пустыми. Ячейки маски остаются неизменными и отражают все падающие на них частицы, что соответствует предположению о высокой селективности травления. В ходе процесса травления ячейки кремния могут стать пустыми, если все их подъячейки будут удалены в актах травления.

Рис. 1.

Дискретизация вычислительной области, расчет траектории частицы и аппроксимация локальной нормали.

Каждая ячейка кремния состоит из n подъячеек. Подъячейки не обладают определенным пространственным положением внутри ячейки: каждая подъячейка имеет равную вероятность провзаимодействовать с падающей частицей. Следует отметить, что подъячейка соответствует не одиночному атому кремния, а группе атомов. В то же время, подъячейка взаимодействует с частицей как целое. Для того, чтобы обеспечить корректную скорость травления, потоки частиц в модели соответствующим образом перенормируются относительно реальных потоков. Состояние подъячейки описывается двумя целочисленными счетчиками: счетчик фтора ${{n}_{{\text{F}}}}$ и счетчик кислорода ${{n}_{{\text{O}}}}.$ В некоторых условиях, перечисленных ниже, в подъячейке происходит акт травления. Такая подъячейка удаляется из ячейки, и не рассматривается при моделировании дальнейших взаимодействий ячейки с частицами.

2.2. Генерация и транспорт частиц

Представленная модель включает в себя три основные компоненты: генерация частиц, построение траекторий частиц и анализ столкновений частиц с поверхностью (ячейками). Так как после первого столкновения частица может отразиться, две последние компоненты могут циклически чередоваться до тех пор, пока частица не будет уничтожена в акте взаимодействия с ячейкой или не покинет вычислительную область.

Генерация частиц осуществляется следующим образом. В первую очередь случайным образом определяется вид частицы, при этом вероятности задаются пропорциональными потокам частиц ${{\Phi }_{{{\text{O*}}}}},{{\Phi }_{{{\text{F*}}}}},{{\Phi }_{i}}.$ Затем задается начальное положение частицы

$x\sim U(0,{{x}_{{{\text{max}}}}}),\,\,\,\,y = {{y}_{{{\text{top}}}}},$
где $U(a,b)$ – равномерное распределение. Способ генерации компонент скорости зависит от вида частицы. Нейтральные радикалы подчиняются Максвелловскому распределению скоростей
${{\text{v}}_{x}},{{\text{v}}_{y}},{{\text{v}}_{z}}\sim {\text{N}}\left( {0,\frac{{kT}}{{{{m}_{i}}}}} \right),$
где ${\text{N}}(\mu ,\sigma )$ – нормальное распределение; частицы с положительными ${{\text{v}}_{y}}$ исключаются из дальнейшего рассмотрения. В модели предполагается, что достигающие границ расчетной области ионы моноэнергетичны и их скорости обладают узким (шириной ${{\sigma }_{{\alpha }}}$) угловым распределением скоростей. Компоненты скорости иона определяются следующим образом:
$\begin{gathered} {{\text{v}}_{x}} = \text{v}\sin \alpha \cos \phi ,\,\,\,\,{{\text{v}}_{y}} = \text{v}\cos \alpha , \\ {{\text{v}}_{z}} = \text{v}\sin \alpha \sin \phi , \\ \end{gathered} $
где $\alpha \sim {\text{N}}(0,\sigma _{{\alpha }}^{2}),\,\,\,\,\varphi \sim U(0,2\pi )$ – полярный и азимутальный углы, а $\text{v} = \sqrt {\frac{{2e{{V}_{{{\text{dc}}}}}}}{m}} $ – абсолютная величина скорости.

После генерации начального состояния частицы прослеживается ее траектория вплоть до столкновения. Для этого применяется алгоритм Брезенхэма. Исходя из двухмерного вектора направления движения частицы

$\left( {\frac{{{{\text{v}}_{x}}}}{{\sqrt {\text{v}_{x}^{2} + \text{v}_{y}^{2}} }},\frac{{{{\text{v}}_{y}}}}{{\sqrt {\text{v}_{x}^{2} + \text{v}_{y}^{2}} }}} \right)$
и ее начального положения $(x,y)$ он вычисляет последовательность пустых ячеек, посещаемых частицей вплоть до столкновения с непустой ячейкой. В зависимости от типа и положения ячейки возможны несколько вариантов. Если это ячейка-маркер верхней границы, частица считается покинувшей вычислительную область и алгоритм переходит к следующей частице. При столкновении с ячейками-маркерами левой и правой границ реализуются периодические граничные условия: частица, столкнувшаяся с маркером левой границы, переносится на правую границу вычислительной области с сохранением вектора скорости, и наоборот. Если происходит столкновение с ячейкой маски, частица отражается.

Для вычисления направления отражения частицы от поверхности, необходимо определить три величины: аппроксимацию локальной нормали к поверхности, угол падения и закон отражения. В нашей модели ионы подчиняются зеркальному закону отражения, а нейтралы – диффузному (косинусному). При расчетах, для построения приближенной локальной нормали используется связный список поверхностных ячеек (т.е. ячеек, имеющих среди четырех ближайших соседей по меньшей мере одну пустую ячейку). Вектор локальной касательной к поверхности восстанавливается с помощью аппроксимации положений 8 ячеек из списка, ближайших к данной. После этого нормаль ${\mathbf{n}}$ вычисляется из условия ортогональности; ее z-компонента полагается равной нулю. Зная нормализованный трехмерный вектор направления падающей частицы ${{{\mathbf{d}}}_{{\mathbf{i}}}}$ и вектор нормали, мы можем выразить угол падения и вектор направления отраженной частицы (в случае зеркального рассеяния) как $\alpha = \arccos ( - {\mathbf{n}} \cdot {{{\mathbf{d}}}_{{\mathbf{i}}}}),$ ${{{\mathbf{d}}}_{{\mathbf{o}}}} = {{{\mathbf{d}}}_{{\mathbf{i}}}} - 2{\mathbf{n}}({\mathbf{n}} \cdot {{{\mathbf{d}}}_{{\mathbf{i}}}}).$ Важно отметить, что хотя z-компонента вектора направления всегда сохраняется при отражениях и не влияет на алгоритм расчета траектории, она, тем не менее, играет важную роль во взаимодействии ионов с поверхностью, влияя на значение угла падения и, следовательно, вероятности распыления.

Результат столкновения с клеткой кремния определяется алгоритмам столкновения частица-поверхность, приведенными в последующих разделах, и может состоять как в отражении, так и в поглощении частицы с изменением состояния клетки.

2.3 Взаимодействие нейтральных частиц с поверхностью

Взаимодействие каждого из типов частиц с поверхностью реализуется с помощью отдельного алгоритма, хотя алгоритмы для нейтральных частиц (радикалов фтора и кислорода) имеют много общего.

При столкновении радикала фтора с поверхностью проверяются несколько условий. Если любое из них не выполняется, частица отражается по диффузному закону. Во-первых, случайное число x, полученное из распределения $U(0.1)$ сравнивается с коэффициентом прилипания ${{S}_{{\text{F}}}}.$ Если оно превосходит коэффициент по величине, частица отражается. В противном случае, случайным образом выбирается непустая подъячейка, принадлежащая данной. Если ее счетчик кислорода ${{n}_{{\text{O}}}}$ имеет положительное значение, подъячейка считается пассивированной и частица отражается. Если отражения не произошло, частица считается поглощенной, и счетчик ${{n}_{{\text{F}}}}$ инкрементируется. Если при этом оказывается, что ${{n}_{{\text{F}}}} > 3,$ происходит акт спонтанного травления и подъячейка целиком удаляется из ячейки.

В случае радикала кислорода используется сходная схема. Проводится вероятностная проверка с коэффициентом прилипания ${{S}_{{\text{O}}}}.$ Затем выбирается подъячейка и проверяется ее счетчик ${{n}_{{\text{O}}}}.$ В случае ${{n}_{{\text{O}}}} > 1$ частица адсорбируется и счетчик инкрементируется. В противном случае частица отражается.

2.4 Взаимодействие ионов с поверхностью

Алгоритм взаимодействия ионов с поверхностью сложнее алгоритма для нейтральных частиц в силу того, что один ион может распылить несколько подъячеек.

(1)
${{f}_{{{\text{[Si,O]}}}}}(\alpha ) = \left\{ {\begin{array}{*{20}{l}} {1,}&{\alpha < {{\theta }_{{{\text{[Si,O]}}}}}} \\ {\frac{{{{\psi }_{{{\text{[Si,O]}}}}} - \alpha }}{{{{\psi }_{{{\text{[Si,O]}}}}} - {{\theta }_{{{\text{[Si,O]}}}}}}},}&{{{\theta }_{{{\text{[Si,O]}}}}} < \alpha < ps{{i}_{{{\text{[Si,O]}}}}}} \\ {0,}&{\alpha > {{\psi }_{{{\text{[Si,O]}}}}}} \end{array}} \right.$
(2)
$\begin{gathered} {{Y}_{{{\text{Si}}}}}(\alpha ,E) = {{f}_{{{\text{Si}}}}}(\alpha )(\sqrt E - \sqrt {{{E}_{{th,{\text{Si}}}}}} ) \\ {{Y}_{{\text{O}}}}(\alpha ,E) = {{f}_{{\text{O}}}}(\alpha )(\sqrt E - \sqrt {{{E}_{{th,{\text{O}}}}}} ). \\ \end{gathered} $
Коэффициент ионного распыления $Y$ для O и SiFx определяется формулами (1) и (2). Важно отметить, что существует критическое значение угла падения ${{\alpha }_{{{\text{max}}}}} = \max ({{\psi }_{{{\text{Si}}}}},{{\psi }_{{\text{O}}}}),$ при превышении которого коэффициент распыления равен нулю при любой энергии. В этом случае ионы отражаются зеркально. Если величина угла падения не превосходит ${{\alpha }_{{{\text{max}}}}},$ реализуется итерационный алгоритм распыления. На каждой итерации случайно выбираются подъячейка и режим распыления (распыление O или распыление SiFx, причем вероятность выбора распыления O пропорциональна ${{n}_{{\text{O}}}}$). Распыление SiFx приводит к полному уничтожению подъячейки, а распыление O декрементирует счетчик ${{n}_{{\text{O}}}}.$ После этого энергия иона уменьшается в соответствии с формулой (2) с учетом количества и режимов осуществленных актов распыления. Если она оказывается ниже Emin = $ = \min ({{E}_{{th,{\text{O}}}}},{{E}_{{th,{\text{Si}}}}}),$ процедура травления завершается. Иначе выполняется следующая итерация.

3. РЕЗУЛЬТАТЫ И ОБСУЖДЕНИЕ

Описанная модель была реализована с помощью компьютерной программы. Типовые параметры численных экспериментов приведены в табл. 1. При получении всех приведенных ниже результатов ширина апертуры маски составляла 10 мкм. Результаты численных экспериментов сопоставлялись с экспериментальными данными, полученными на установке плазмохимического травления Plasmalab System 100 (OIPT). Рецепты использованных процессов представлены в табл. 2.

Таблица 2.  

Параметры экспериментов

№ эксперимента 1 2 3 4 5 6 7
Поток кислорода, ст. см3/мин 0 7 8.5 6 9 7.3 10
Поток SF6, ст. см3/мин 50 60 60 60 60 60 72
Мощность ICP, Вт 1750 800 800 800 800 800 800
Мощность смещения, Вт 4 4 8 4 4 4 13
Напряжение смещения, В 61 59 55 55 53 36 90
Температура образца, °C 25 –110 –110 –110 –110 –110 –110
Давление, мТорр 30 10 10 12.5 10 10 10
Продолжительность процесса, с 100 300 300 270 300 4380 480

В первую очередь было необходимо проверить общую состоятельность модели. Для это использовалось несколько особых наборов параметров. В первом численном эксперименте ${{V}_{{{\text{dc}}}}}$ и ${{\Phi }_{{\text{O}}}}$ были приняты равными нулю. Это соответствует режиму полностью изотропного травления без пассивации. Его результаты приведены на рис. 2 . Видно, что модель воспроизводит экспериментальный профиль с высокой точностью. Также стоит отметить, что получающийся в таких условиях профиль имеет гладкую поверхность без шероховатостей. Это замечание будет важным в дальнейшем, при обсуждении происхождения шероховатости стенок.

Рис. 2.

Изотропный профиль: эксперимент № 1 (а), моделирование (б).

Затем было смоделирован режим анизотропного травления с ненулевыми напряжением смещения и потоком кислорода. Варьируя потоки частиц, удалось получить близкий к оптимальному профиль травления (рис. 3 ), характеризующийся гладкими стенками, небольшим положительным наклоном и полным отсутствием эффекта “бочки” (bowing) и подтрава. Ширина профиля составила 8.6 мкм на глубине 10 мкм, и 8.2 мкм на глубине 14.3 мкм, при ширине апертуры 10 мкм. Использовались следующие значения параметров модельных потоков частиц: ${{\Phi }_{i}} = 15 \times {{10}^{{16}}},$ ${{\Phi }_{{\text{O}}}} = 2.7 \times {{10}^{{16}}},$ ${{\Phi }_{{\text{F}}}} = 1.84 \times {{10}^{{18}}}.$ В дальнейшем этот набор параметров использовался при исследованиях образования дефектов в неоптимальных режимах травления.

Рис. 3.

Оптимальный анизотропный профиль: эксперимент № 2 (а), моделирование (б).

В случае недостаточного потока кислорода становится возможным возникновение каверн и шероховатости в верхней части профиля. Было обнаружено, что условием образования каверн в нашей модели является ${{\varphi }_{{\text{O}}}} = \frac{{{{\Phi }_{{\text{O}}}}}}{{{{\Phi }_{{\text{F}}}}}} < 0.0014.$ В таких условиях пассивация стенки профиля кислородными радикалами приводит к значительному сокращению подтрава, но оказывается недостаточной для полного предотвращения травления. Типичный результат численного эксперимента приведен на рис. 4 . Наблюдаемый размер каверн лежит в диапазоне 100–900 нм. Образование таких каверн можно объяснить бомбардировкой верхней части стенки профиля ионами, отразившимися от участков с отрицательным наклоном или изначально имеющими высокую y-компоненту скорости, приводящей к возникновению дефектов пассивационного слоя, которые могут развиться в каверны. Внутренняя поверхность каверн пассивируется слабо из-за геометрического ограничения потока радикалов, что приводит к их неограниченному росту на протяжении всего процесса травления. Следовательно, данный дефект должен быть наиболее выражен в процессах глубокого травления. Когда поток кислорода немного превосходит порог образования каверн, но все еще недостаточен для образования оптимального пассивационного слоя, можно наблюдать на их месте участки с высокой шероховатостью. Пример такой шероховатости при ${{\varphi }_{{\text{O}}}} = 0.0015$ показан на рис. 4 в.

Рис. 4.

Образование каверн: эксперимент № 3 (а), моделирование (б, в).

Рис. 4.

Окончание.

Рассмотрим теперь эффекты, возникающие при избыточном потоке кислорода (перепассивация). При ${{\varphi }_{{\text{O}}}} = 0.010$ (рис. 5 а) можно наблюдать симметричный V-образный профиль. Дальнейшее увеличение потока кислорода (${{\varphi }_{{\text{O}}}} = 0.010$) приводит к возникновению сильно асимметричных профилей (рис. 5 б). Когда ${{\varphi }_{{\text{O}}}}$ достигает 0.08 при высокоаспектном травлении, профиль может расщепляться на несколько подтренчей (рис. 5 в). В случае более широких тренчей на дне структуры начинается формирование “нанотравы” (рис. 6 ). Основной причиной этого является превышение скорости пассивации над скоростью ионно-стимулированного травления. Условие образования “нанотравы” имеет вид $\frac{{{{\Phi }_{{\text{O}}}}}}{{{{\Phi }_{i}}}} > 3.$

Рис. 5.

Перепассивация: (а) V-образный профиль (эксперимент № 4, моделирование), (б) асимметричный профиль (эксперимент № 5, моделирование), (в) расщепление профиля (эксперимент № 6, моделирование).

Рис. 6.

“Нанотрава”: эксперимент № 8 (а), моделирование (б).

ЗАКЛЮЧЕНИЕ

Была предложена и реализована численная модель эволюции двухмерного профиля в ходе криогенного травления кремния в плазме SF6/O2. Модель предназначена для изучения различных дефектов профиля стохастической природы. Для расчета потоков частиц использовался метод Монте-Карло. Профиль травления представлялся с помощью квадратных ячеек, каждая из которых представляла собой совокупность подъячеек, случайно выбираемых при каждом взаимодействии частиц с ячейкой, что позволило отразить в модели мелкомасштабные явления, сохранив при этом высокую производительность расчетов. С использованием модели были на качественном уровне исследованы профили травления, их дефекты и временная эволюция. Были численно изучены такие явления, как образование каверн и шероховатости поверхности, асимметрия и расщепление тренчей, образование “нанотравы”. Сопоставление результатов расчетов с экспериментальными данными показало качественное согласие во всех случаях. Анализ результатов позволил дать объяснение механизмов образования дефектов. Дальнейшее развитие модели в рамках многомасштабного моделирования, сочетающего метод струны для моделирования профиля и клеточного подхода для моделирования мелкомасштабных отклонений позволит получить адекватные результаты для тренчей с аспектными отношениями вплоть до 20.

Исследование выполнено при финансовой поддержке РФФИ в рамках научного проекта № 18-07-01190.

Список литературы

  1. Miakonkikh A.V., Rogozhin A.E., Rudenko K.V., Lukichev V.F., Yunkin V.A., Snigirev, A.A. Elements for hard x-ray optics produced by cryogenic plasma etching of silicon // Proc. of SPIE. 2016. V. 10 224. № 1 022 421.

  2. Jansen H.V., de Boer M.J., Unnikrishnan S., Louwerse M.C., Elwenspoek M.C. Black silicon method: X. a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between bosch and cryostat drie processes as a roadmap to next generation equipment // J. Micromechanics and Microengineering. 2009. V. 19. P. 033 001.

  3. Haidar Y., Rhallabi A., Pateau A., Mokrani A., Taher F., Roqueta F., Boufnichel, M. Simulation of cryogenic silicon etching under SF6/O2/Ar plasma discharge // J. Vacuum Science & Technology A. 2016. V. 34. P. 061 306.

  4. Lukichev V., Yunkin, V. Scaling of silicon trench etch rates and profiles in plasma etching // Microelectronic Engineering. 1999. V. 46. P. 315–318.

  5. Ishchuk V., Olynick D.L., Liu Z., Rangelow I.W. Profile simulation model for sub-50 nm cryogenic etching of silicon using SF6/O2 inductively coupled plasma // J. Applied Physics. 2015. V. 118. P. 053 302.

  6. Blauw M.A., van der Drift E., Marcos G., Rhallabi A. Modeling of fluorine-based high-density plasma etching of anisotropic silicon trenches with oxygen sidewall passivation // J. Applied Physics. 2003. V. 94. P. 6311–6318.

Дополнительные материалы отсутствуют.